From 571a280d3ef70c1aef1d3dd9824ff20c2e3f9870 Mon Sep 17 00:00:00 2001 From: GabrielTofvesson Date: Fri, 12 Oct 2018 11:39:08 +0200 Subject: [PATCH] Added CLA adder circuit generator --- FastAdder.py | 1 + FastAdder.v | 39 +++++++++++++++++++++++++++++---------- SevenSegment.v | 15 ++++++++------- 3 files changed, 38 insertions(+), 17 deletions(-) create mode 100644 FastAdder.py diff --git a/FastAdder.py b/FastAdder.py new file mode 100644 index 0000000..eb06e56 --- /dev/null +++ b/FastAdder.py @@ -0,0 +1 @@ +print((lambda count_i, gen: "\nmodule FastAdder"+str(count_i)+"(\n\tinput wire cin,\n\tinput wire ["+str(count_i-1)+":0] a,\n\t"+"input wire ["+str(count_i-1)+":0] b,\n\toutput wire ["+str(count_i-1)+":0] out,\n\toutput wire cout\n);\nwire ["+str(count_i-1)+":0] g = a & b;\nwire ["+str(count_i-1)+":0] p = a ^ b;\nassign out = a ^ b ^ {\n\t"+('\n\t'.join([gen(i) for i in range(count_i-2, -2, -1)]).replace("(cin),", "(cin)"))+"\n};\nassign cout = "+gen(count_i-1)[0:-1]+";\nendmodule\n")(int(input("Adder bit width: ")), lambda depth: ("("+(''.join([''.join([" p["+str(j)+"] &" for j in range(depth, i, -1)]) + (" g["+str(i)+"]) | (" if i >= 0 else "") for i in range(depth, -2, -1)]))+" cin),").replace("( ", "("))) \ No newline at end of file diff --git a/FastAdder.v b/FastAdder.v index c9a31cf..7d13e25 100644 --- a/FastAdder.v +++ b/FastAdder.v @@ -19,19 +19,38 @@ assign c_out = gen[3] | (prp[3] & gen[2]) | (prp[3] & prp[2] & gen[1]) | (prp[3] endmodule module FastAdder8( - input wire [WIRE_SIZE-1:0] a, - input wire [WIRE_SIZE-1:0] b, - output wire [WIRE_SIZE-1:0] out, + input wire c_in, + input wire [7:0] a, + input wire [7:0] b, + output wire [7:0] out, output wire c_out ); -parameter WIRE_SIZE; +wire [7:0] gen = a & b; // Generator +wire [7:0] prp = a ^ b; // Propogator -genvar i; -generate - for(i=0; i